Blogger Tips and TricksLatest Tips And TricksBlogger Tricks

Saturday 5 March 2016

GHDL - a VHDL simulator

Description
GHDL is the leading open source VHDL simulator.

We have binary distributions for Debian Linux, Mac OSX and Windows. On other systems, getting GHDL from here means downloading the current source package and building GHDL from source. Alternatively you can get the latest source version (warning : occasionally unstable!) by pulling a snapshot from the git repository.

Categories
Electronic Design Automation (EDA)

License
GNU General Public License version 2.0 (GPLv2)

Download

No comments:

Post a Comment